CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld verilog

搜索资源列表

  1. test12

    0下载:
  2. 自己用VerilogHDL语言编写的时钟程序,包括时钟进位计数模块,数码管显示模块和闹钟模块。在cpld芯片上经测试有效(开发环境没找到VerilogHDL,就选了VHDL,其实他们不一样的……)-Clock with Verilog HDL language written procedures, including clock binary counter module, digital display and alarm modules. The CPLD chip has been te
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:874
    • 提供者:潘昕
  1. 20130517

    0下载:
  2. 采用cpld控制ads8364实现六通道采样,采用verilog语言-Cpld control ads8364 six-channel sampling, using the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1362
    • 提供者:hua
  1. Verilog_HDl

    0下载:
  2. Verilog HDL是一种硬件描述语言(HDL:Hardware Discr iption Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 -VHDL language is a high-level language for circuit design, digital systems primarily used to describe the structure, behavior,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:80041
    • 提供者:李梓玉
  1. liushuideng

    0下载:
  2. 基于verilog语言,cpld实现的流水灯的程序代码-Based on verilog language, cpld achieve light water code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:205322
    • 提供者:Zhouyang
  1. yaokong

    0下载:
  2. 直流电机CPLD 控制程序,VERILOG 写的。 程序分两部分,一部分是遥控按键板的程序,一部分是接收端控制L298的程序,全部采用EPM1270编写,程序都经过实际测试。大家在使用L298的时候特别注意,L298容易烧坏掉,主要原因是过流,所以请选择电机的时候要测量下电机的内阻或者是清楚电机的功率,还有L298 如果让电机停止的时候,不要给PWM波,给PWM波又让停转的话,L298发热也厉害。-CPLD DC motor control procedures, VERILOG writt
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:657452
    • 提供者:huanghui
  1. fuyaokongban

    0下载:
  2. 直流电机CPLD 控制程序,VERILOG 写的。 程序分两部分,一部分是遥控按键板的程序,一部分是接收端控制L298的程序,全部采用EPM1270编写,程序都经过实际测试。大家在使用L298的时候特别注意,L298容易烧坏掉,主要原因是过流,所以请选择电机的时候要测量下电机的内阻或者是清楚电机的功率,还有L298 如果让电机停止的时候,不要给PWM波,给PWM波又让停转的话,L298发热也厉害。 几个程序分开上次了,大家自己找我的上次文件-CPLD DC motor control pro
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:694055
    • 提供者:huanghui
  1. CHETIKONGZHI

    0下载:
  2. 直流电机CPLD 控制程序,VERILOG 写的。 程序分两部分,一部分是遥控按键板的程序,一部分是接收端控制L298的程序,全部采用EPM1270编写,程序都经过实际测试。大家在使用L298的时候特别注意,L298容易烧坏掉,主要原因是过流,所以请选择电机的时候要测量下电机的内阻或者是清楚电机的功率,还有L298 如果让电机停止的时候,不要给PWM波,给PWM波又让停转的话,L298发热也厉害。 几个程序分开上次了,大家自己找我的上次文件-CPLD DC motor control pro
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1965413
    • 提供者:huanghui
  1. shumaguan

    0下载:
  2. verilog 写的,基于CPLD 的数码管实验,输入端是430单片机,cpld做了38译码器和8位所存-verilog written CPLD-based digital tube experiments, the input is 430 single, cpld made 38 decoder and 8 kept
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:159491
    • 提供者:王晓磊
  1. KEY

    0下载:
  2. fpga cpld 程序 key 按键 按键检测 verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:172866
    • 提供者:用彩色
  1. VMD642_CPLD

    0下载:
  2. 本例程位于 VMD642_CPLD目录中。 使用 CPLD 实现辅助译码、LED 指示灯控制、看门狗等各种逻辑控制电路。源程序使 用 Verilog HDL书写,编译开发系统使用 Cypress公司的 Warp 6.3。-This routine is located VMD642_CPLD directory. Using CPLD implementation auxiliary decoding, LED indicator control, watchdog, and othe
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:48621
    • 提供者:ye
  1. lcdname2(santez)

    0下载:
  2. this program is used to dislay a text on lcd .the language is verilog and it can be usedto program FPGA OR CPLD
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:110998
    • 提供者:mary
  1. digitalclock

    0下载:
  2. digital alarm clock on lcd- written in verilog to program fpga or cpld
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:231943
    • 提供者:mary
  1. BCD_ok-BCD

    0下载:
  2. Verilog 4位计时器,可以在CPLD开发板上成功运行-Verilog CPLD FPGA
  3. 所属分类:MPI

    • 发布日期:2017-04-05
    • 文件大小:214191
    • 提供者:猎狐
  1. test_led

    0下载:
  2. Verilog语言的24小时计数器,数码管显示,按键调时,在CPLD上调试正常。-Verilog language 24-hour counter, digital display, when the key tone on CPLD normal debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:840232
    • 提供者:lgs2007m
  1. sdram_epm570_uart

    1下载:
  2. 基于CPLD芯片EPM570的verilog hdl串口程序-the UART verilog hdl code based on CPLD chip-- EPM570
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1337033
    • 提供者:黄成林
  1. rs232

    0下载:
  2. 一个简单的verilog程序,实现PC发送数据给cpld,长篇累牍将数据回送给pc-A simple verilog program, the realization of PC to send data to the CPLD, dozen send data back to the PC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1408
    • 提供者:杨胖
  1. iBoard_TFT_Driver_SRC_V1.00

    0下载:
  2. iboard 4.3寸 480*272 tft CPLD驱动代码 基于verilog 可直接使用STM32 FSMC控制-iboard 4.3 inch 480* 272 tft CPLD driver code based on verilog. it can directly use STM32 FSMC bus control this driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3224
    • 提供者:阿斯顿
  1. quartus9_tst

    0下载:
  2. 一个比较简单的基于CPLD的数码管显示程序,适合初学者学习,使用Verilog编写-A relatively simple CPLD-based digital tube display program, suitable for beginners to learn to write using Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:64348
    • 提供者:中国
  1. counter_johnson

    0下载:
  2. 基于FPGA,CPLD嵌入式系统的Verilog语言,用于实现Johnson计数器。-base on the FPGA or DPLD,to complement the Johnson counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:60621
    • 提供者:詹胤
  1. spi1

    0下载:
  2. 使用verilog语言编写的实现cpld EPM570与EEPROM的SPI通信-Using verilog language to achieve cpld EPM570 SPI communication with the EEPROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1725
    • 提供者:LJL
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com